活动简介

While the conference is planned to be held as a regular on-site event, recorded presentations from speakers who cannot travel will be played during the scheduled sessions and all live sessions will be recorded and available for registered attendees after the conference concludes.

Authors are encouraged to submit their original work describing innovative research and development in the critically important field of on-chip interconnects. The conference seeks papers on all aspects of BEOL/MOL interconnects and metallization, including design, unit process, integration and reliability.

IITC is sponsored by the IEEE Electron Devices Society as the premier conference for interconnect technology devoted to leading-edge research in the field of advanced metallization and 3D integration for ULSI IC applications. The conference seeks papers on all aspects of BEOL/MOL interconnects and metallization, including design, unit process, integration and reliability.

Sponsor Type:1

留言
验证码 看不清楚,更换一张
全部留言
重要日期
  • 会议日期

    05月22日

    2023

    05月25日

    2023

  • 05月25日 2023

    注册截止日期

主办单位
IEEE Electron Devices Society
移动端
在手机上打开
小程序
打开微信小程序
客服
扫码或点此咨询